ISE在线逻辑分析仪ChipScope_ddkk_新浪博客

ChipScope Core Generator是xilinx ISE提供的在线逻辑分析仪,ChipScope Pro核生成器的作用就是根据设定条件生成在线逻辑分析仪的IP核,然后设计人员在原HDL代码中实例化生成的核,之后再布局布线、下载配置文件,就可以利用ChipScope Pro分析仪设定触发条件、观察信号波形了。

ChipScopePro提供了7类不同的核资源,其中ICON核,ILA核,VIO核以及ATC2核应用广泛。

其中ICON核用于控制,只有ICON核具备和JTAG边界扫描端口通信的能力,因此ICON核实是必不可少的关键核。

ILA核提供触发和跟踪功能,根据用户设置的处罚条件捕获数据,然后在ICON的控制下,通过边界扫描口将数据上传到pc上,{zh1}在analyzer中显示出信号波形。由于ILA核和被监控设计是同步的,设计中的所有时钟约束会被添加到相应的ILA核中。

ILA核,VIO核以及ATC2核功能类似,都是用于不同场合的逻辑测试核,属性和配置过程基本一样,其中ILA核的使用频率{zg}。

它的原理是,在你综合完的网表里插入用于采集数据的core(包括ILA和ICON),插入的方式可以用core inserter,也可以用core generator,只不过后者需要在源代码中实例化。用core inserter更为快捷,基本上就是选择你要观察的信号以及触发源、时钟等,然后运行之后会自动生成一个新的网表文件,再用这个网表在ISE里面进行布局布线,生成下载文件,通过JTAG方式下载到芯片里运行。在芯片运行的过程中,如果你选择的触发源发生跳变,或满足触发条件时,芯片里的core会将你要观察的信号采集并存储在芯片内的RAM(也可以是FF)中,然后通过JTAG口将采集到的信号上载到PC,{zh1}在PC的chipscope analyzer的界面中以波形的方式显示出来,因此你就能看到芯片里的信号波形。

我们平时都是使用Chipscope的 core inserter 方式来往我们的工程里面植入Chipscope核。凡事都是利弊相生的,XILINX的Chipscope core inserter工具帮你便捷地把core植入到你的工程里面,那么存在一定的弊端,那就是编译时间被延长得很长,而且每次编译过程中,都会根据你的cdc工程文件重新生成一次ICON、ILA内核网表,再重新编译整个工程,那么编译时间就不可避免地被延长了。

所以,如果要采集到你所要的信号波形,首先是你的触发条件被满足。chipscope不会去改变你的原始设计中的信号,只对他们作采集。

总结来说,chipscope是利用植入FPGA的两个core: ILA 和 ICON,利用JTAG数据线传回数据的方式来观察、调试设计的。

 

已投稿到:
郑重声明:资讯 【ISE在线逻辑分析仪ChipScope_ddkk_新浪博客】由 发布,版权归原作者及其所在单位,其原创性以及文中陈述文字和内容未经(企业库qiyeku.com)证实,请读者仅作参考,并请自行核实相关内容。若本文有侵犯到您的版权, 请你提供相关证明及申请并与我们联系(qiyeku # qq.com)或【在线投诉】,我们审核后将会尽快处理。
—— 相关资讯 ——