【原创】【verilog】分频器设计--支持自定义占空比和输出频率- 喜欢 ...

module clk_div(clk_in, clk_out);
   parameter DUTY = 20; //定义脉冲的占空比
   parameter VAL = 100;
   input      clk_in;
   output reg clk_out;  //在always语句中赋值的变量必须定义为REG类型
  
   integer cnt = 0; //定义计数变量
  
   clk_in)
   begin
      cnt = cnt + 1;
      if(cnt==VAL)
         cnt = 0;
      if(cnt<VAL*DUTY/100)
         clk_out = 1;
      else
         clk_out = 0;   
   end
endmodule

郑重声明:资讯 【【原创】【verilog】分频器设计--支持自定义占空比和输出频率- 喜欢 ...】由 发布,版权归原作者及其所在单位,其原创性以及文中陈述文字和内容未经(企业库qiyeku.com)证实,请读者仅作参考,并请自行核实相关内容。若本文有侵犯到您的版权, 请你提供相关证明及申请并与我们联系(qiyeku # qq.com)或【在线投诉】,我们审核后将会尽快处理。
—— 相关资讯 ——