分频器的设计50MHz分频为1MHz_supersugar
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpinqi is
port(clk :in std_logic;
y   :buffer std_logic);
end fenpinqi;
architecture behav of fenpinqi is
begin
process(clk)
variable count: integer range 0 to 25000000;
begin
    if (clk='1'and clk'event)then count:=count+1;
    if (count=12500000) then y<='1';
    elsif (count=25000000) then y<='0';
    count:=0;
    end if;
    end if;
end process;
end behav;

     


郑重声明:资讯 【分频器的设计50MHz分频为1MHz_supersugar】由 发布,版权归原作者及其所在单位,其原创性以及文中陈述文字和内容未经(企业库qiyeku.com)证实,请读者仅作参考,并请自行核实相关内容。若本文有侵犯到您的版权, 请你提供相关证明及申请并与我们联系(qiyeku # qq.com)或【在线投诉】,我们审核后将会尽快处理。
—— 相关资讯 ——